set_global_assignment -name IP_TOOL_NAME "FIFO" set_global_assignment -name IP_TOOL_VERSION "13.1" set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "utility_ctrl_audio_fifo_adc.vhd"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "utility_ctrl_audio_fifo_adc.bsf"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "utility_ctrl_audio_fifo_adc_inst.vhd"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "utility_ctrl_audio_fifo_adc.cmp"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "utility_ctrl_audio_fifo_adc_syn.v"]