set_global_assignment -name IP_TOOL_NAME "ALTPLL" set_global_assignment -name IP_TOOL_VERSION "13.1" set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "pll_system.vhd"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_system.bsf"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_system.inc"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_system.cmp"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_system.ppf"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_system_syn.v"]